Clear Filters
Clear Filters

how to conwert digital to analog signal?

2 views (last 30 days)
Zoran
Zoran on 4 Jan 2023
Commented: Zoran on 6 Jan 2023
Hello all,
Is there a DAC block in simulink? I have used the ADC block but now once I’m done with my experiment, I have to convert the signal back to analog. Unfortunately, I couldn’t find a DAC block in simulink. Is there any other way of doing it ? Any help is appreciated.
Thanks
  2 Comments
Bora Eryilmaz
Bora Eryilmaz on 4 Jan 2023
Are you looking for a block that will communicate with a particular microcontroller?
Otherwise, what do you mean by a DAC block in simulink? Something what will convert, say, a 10-bit digital value (0-1023) to an "analog" value with range 0-5V? Without an actual hardware, in pure Simulink this would be just a scaling of the signal.
Zoran
Zoran on 6 Jan 2023
I am looking for a block in pure Simulink, and I am also looking for a block that can help me convert bits to continuous signals which I can use. Do I use the "Gateway Out" element, or something else?

Sign in to comment.

Answers (0)

Products


Release

R2018a

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!