How to implement Matlab Mfile code onto FPGA using Xilinx System Generator

2 views (last 30 days)
Dear,
I need to implement (Down load) some code written in Matlab (Mfile) onto Spartan-3E FPGA using Xiling System Generator Tool. I tried to use System generator Mcode block set to synthesize my Mfile code. But I got error. Can you help me how to implement this project, please?
Thanks,
Desta

Answers (1)

Veera Kanmani
Veera Kanmani on 20 Apr 2018
https://www.mathworks.com/tagteam/74244_92077v00_Xilinx_WhitePaper_final.pdf

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!