Dose HDL coder generate Verilog HDL-1995 verision or Verilog HDL-2001 version?
7 views (last 30 days)
Show older comments
When I use HDL advisor, the app will generate Verilog HDL code from matlab function, so is it Verilog HDL-1995 verision or Verilog HDL-2001 version?
0 Comments
Answers (2)
Bharath Venkataraman
on 15 Mar 2022
HDL Coder generates HDL code compliant with Verilog-2001.
0 Comments
Kiran Kintali
on 13 Mar 2025
Edited: Kiran Kintali
on 13 Mar 2025
HDL Coder Language Support
VHDL, Verilog, and SystemC HLS Language Support
The generated HDL code complies with the following standards:
- VHDL-1993 (IEEE® 1076-1993)
- Verilog-2001 (IEEE 1364-2001)
- SystemVerilog-2005 (IEEE 1800-2005)
The generated HLS code complies with the following standards:
- SystemC 2.3 (IEEE 1666-2011)
https://www.mathworks.com/help/hdlcoder/gs/language-and-tool-version-support.html
0 Comments
See Also
Community Treasure Hunt
Find the treasures in MATLAB Central and discover how the community can help you!
Start Hunting!